Cts Jobs
Kiash Solutions LLp - India, IN (+1 location)
and signoff at the block level, particularly at 16/7nm technology nodes. Key responsibilities include floor planning, clock tree synthesis (CTS), static timing analysis (STA), physical verification, and a basic
from: adzuna.in (+1 source) - 2 days ago
HeadPro Consulting LLP - Bangalore, Karnataka, IN
with Microsoft Teams conferencing administration - Avixa CTS mandatory Job Details : - The AV Services team is collectively responsible for conferencing services administration: maintaining 550 global conference
from: adzuna.in (+1 source) - 6 days ago
SONOSCAN HEALTHCARE PRIVATE LIMITED - Kolkata, West Bengal, India
Educational Qualifications – MD in Radio Diagnosis from a reputed Institute. Roles and Responsibilities – To report on Routine & Special USG / X-Ray/BMD/ Mammography/ CT Scan/ MRI & Different Guided
from: linkedin.com - 4 days ago
HCLTech - Bengaluru, Karnataka, India
in this role, you should have an in-depth, hands on understanding of Dual Beam FIB/SEM Familiarity with a wide variety of materials characterization techniques (SEM/ EDS, FTIR, CT/X-Ray, etc..) and fundamental
from: linkedin.com - Yesterday
Platinum Hospitals Pvt Ltd. - Ulhasnagar Thane +1
images of patients internal organs and tissues. Should be able to perform CT scan with or without injectors. Appropriately positioning patients to scan specific areas of their bodies. Performing regular
from: placementindia.com - 7 days ago
Cleartrip - , , India
to building a differentiated value proposition for its customers looking for end-to-end travel solutions. With industry-first offerings such as ‘CT Flexmax, CT Flex, and CT Upgrade’, Cleartrip has a clear
from: linkedin.com - Yesterday
Capleo Global Healthcare - , , India
and nurses in the operating theater. Operating microscopes for surgeries to perform microsurgeries. Ordering, performing, and interpreting results of diagnostic tests, such as MRIs, PET scans, and CT scans
from: linkedin.com - Yesterday
Swedium Global Services - India, IN
- Physical design implementation (Floor planning, CTS, STA) in advanced technologies with Cadence tools. - STA tool and timing closure methodologies - Power grid, clock tree, and low-power reduction
from: adzuna.in - 2 days ago
SONOSCAN HEALTHCARE PRIVATE LIMITED - Balurghat, West Bengal, India
Educational Qualifications – MD in Radio Diagnosis from a reputed Institute. Duty schedule – 5 to 6 days in a week Roles and Responsibilities – To report on Routine & Special USG / X-Ray/ CT Scan
from: linkedin.com - 3 days ago
Synapse Design Inc. - , , India
design Expertise in clock design and CTS Expertise in low power flow (power gating, multi-Vt, voltage islands, dynamic voltage scaling, body biasing, etc) is a plus Hands on experience with STA, DRC/LVS
from: linkedin.com - Yesterday
Get email alerts for: Cts Jobs
Sponsored Ads by Indeed