124 Tcl Jobs in Telangana
-
Physical Design Engineer Acesoft Labs India Pvt. Ltd. - Hyderabad, Telangana, India in Tcl/Tk/Perl. · Well versed with timing constraints, STA, and timing closure. · Should have experience in handling hierarchical partitions. · Should have worked on minimum 5 tapeouts. · Should have hands 8 days ago
-
DFT Engineer LanceSoft, Inc. - Hyderabad, Telangana, India TetraMax, Cadence Encounter Test -Industry standard simulation tools such as VCS, Questasim, NCVerilog -Scripting in Perl and Tcl -Exposure to SoC design and test for mobile market applications Preferred 8 days ago
-
Enovia Developer NUSTAR TECHNOLOGIES INDIA PRIVATE LIMITED - Hyderabad, India Job Description :- PLM domain Experience.- Experience in ENOVIA Product development, Customization.- Experience with JPO/JSP/Spinner based customizations.- Experience with MQL and TCL.- Experience 7 9 days ago
-
Sr Staff Physical Design Engineer Synopsys Inc - Hyderabad, Telangana, India knowledge of Synopsys or competing synthesis and place and route tools (Fusion Compiler, IC Compiler II, Design Compiler preferred) Good scripting skills in Tcl, Shell and Perl Attentive to stringent 11 days ago
- Forum: Start a Discussion Join
-
Staff Verification Solutions Engineer Synopsys Inc - Hyderabad, Telangana, India on UNIX, Tcl and/or any other scripting language to be effective. Team player, partners with many stakeholders, has attention to detail and innovative mindset. Responsibilities Identify the verification 11 days ago
-
Principal Engineer, DEG Design Hyderabad, Telangana, India peers in verbal and written form Experience with a scripting language (Python, Tcl, Perl, etc) Familiarity with memory design is a big plus Qualifications: Bachelors or Post Graduate Degree with 12+ years 6 days ago
-
Senior Physical Design Engineer MosChip® - Hyderabad, Telangana, India in scripting languages such as PERL, TCL. · Strong Physical Verification skill set. · Static Timing Analysis in Primetime or Primetime-SI. · Good written and oral communication skills. Ability to clearly 13 days ago
-
Senior Manager - SQA (Automation testing & Python) Silicon Labs - Hyderabad, Telangana, India in programming languages such as C++ or Java, as well as scripting languages such as Perl, Python, or Tcl Expect Data communications and networking. Education and/or Experience Minimum of Bachelors of Science 10 days ago
-
ASIC Digital Design, Staff Engineer Hyderabad, Telangana, India and flows Good Knowledge and Experience in Spyglass/VC-Spyglass. Experience in Core Assembler flow to create, verify and use Core Kit views for IPs Scripting experience in Shell/Perl/Python/TCL is a strong 10 days ago
-
Principal Firmware Engineer Microchip Technology Inc. - Hyderabad, Telangana, India with benchmarking softwares such as CoreMark, FPMark etc. · Knowledge in at least one scripting languages: Python, Linux shells, TCL · Must possess strong debugging skills and the ability to approach problems 15 days ago
Top locations